(V)HDL Toolsets

ben bfranchuk at jetnet.ab.ca
Thu May 21 12:25:16 CDT 2020


On 5/20/2020 8:22 PM, Jay Jaeger via cctech wrote:
> As I wrote in my last post, but write here for use as a separate thread:
> 
> I'd be interesting in hearing from folks what toolsets they have used
> for HDL (VHDL in particular).  I started with Xilinx ISE and then
> graduated to Vivado for later chipsets - unfortunately, Vivado seems to
> be something of a dog, in terms of time to compile HDL and synthesize logic.
> 
> JRJ
> 
I use ALTERA (now INTEL) as hobby and the AHDL programing language. What 
I found is compiling simple logic is no problem
but real world problems tend to device specific to the FPGA brand
like ram or rom memory and acessing said items. Things are not
portable is if need a popup wizard to define some feature.

Ben.



More information about the cctech mailing list